<journal article>
Dynamically Variable Line-Size Cache Architecture for Merged DRAM/Logic LSIs

Creator
Language
Publisher
Date
Source Title
Source Title
Vol
Issue
First Page
Last Page
Publication Type
Access Rights
Related DOI
Related URI
Relation
Abstract This paper proposes a novel cache architecture suitable for merged DRAM/logic LSIs, which is called "dynamically variable line-size cache(D-VLS cache)." The D-VLS cache can optimize its line-size acco...rding to the characteristic of programs, and attempts to improve the performance by exploiting the high on-chip memory bandwidth on merged DRAM/logic LSIs appropriately. In our evaluation, it is observed that an average memory-access time improvement achieved by a direct-mapped D-VLS cache is about 20% compared to a conventional direct-mapped cache with fixed 32-byte lines. This performance improvement is better than that of a doubled-size conventional direct-mapped cache.show more

Hide fulltext details.

pdf Inoue3 pdf 521 KB 322  

Details

Record ID
Peer-Reviewed
Subject Terms
ISSN
NCID
Type
Created Date 2009.04.22
Modified Date 2020.11.02

People who viewed this item also viewed