<conference paper>
Handling Control Data Flow Graphs for a Tightly Coupled Reconfigurable Accelerator

Creator
Language
Publisher
Date
Source Title
Source Title
First Page
Last Page
Publication Type
Access Rights
Rights
Related DOI
Related DOI
Related URI
Related URI
Related HDL
Relation
Abstract In an embedded system including a base processor integrated with a tightly coupled accelerator, extracting frequently executed portions of the code (hot portion) and executing their corresponding data... flow graph (DFG) on the accelerator brings about more speedup. In this paper, we intend to present our motivations for handling control instructions in DFGs and extending them to Control DFGs (CDFGs). In addition, basic requirements for an accelerator with conditional execution support are proposed. Moreover, some algorithms are presented for temporal partitioning of CDFGs considering the target accelerator architectural specifications. To show the effectiveness of the proposed ideas, we applied them to the accelerator of an extensible processor called AMBER. Experimental results represent the effectiveness of covering control instructions and using CDFGs versus DFGs.show more

Hide fulltext details.

pdf hamid07_2 pdf 341 KB 479  

Details

Record ID
Peer-Reviewed
Subject Terms
DOI
Notes
Type
Created Date 2009.04.22
Modified Date 2024.01.10

People who viewed this item also viewed