<journal article>
A High-Performance/Low-Power On-Chip Memory-Path Architecture with Variable Cache-Line Size

Creator
Language
Publisher
Date
Source Title
Source Title
Vol
Issue
First Page
Last Page
Publication Type
Access Rights
Related DOI
Related URI
Relation
Abstract This paper proposes an on-chip memory-path architecture employing the dynamically variable line-size (D-VLS) cache for high performance and low energy consumption. The D-VLS cache exploits the high on...-chip memory bandwidth attainable on merged DRAM/logic LSIs by replacing a whole large cache line in one cycle. At the same time, it attempts to avoid frequent evictions by decreasing the cache-line size when programs have poor spatial locality. Activating only on-chip DRAM subarrays corresponding to a replaced cache-line size produces a significant energy reduction. In our simulation, it is observed that our proposed on-chip memory-path architecture, which employs a direct-mapped D-VLS cache, improves the ED (Energy Delay) product by more than 75% over a conventional memory-path model.show more

Hide fulltext details.

pdf Inoue6 pdf 586 KB 203  

Details

Record ID
Peer-Reviewed
Subject Terms
ISSN
NCID
Type
Created Date 2009.04.22
Modified Date 2020.11.02